Патент на изобретение №2350007

Published by on




РОССИЙСКАЯ ФЕДЕРАЦИЯ



ФЕДЕРАЛЬНАЯ СЛУЖБА
ПО ИНТЕЛЛЕКТУАЛЬНОЙ СОБСТВЕННОСТИ,
ПАТЕНТАМ И ТОВАРНЫМ ЗНАКАМ
(19) RU (11) 2350007 (13) C1
(51) МПК

H02M1/08 (2006.01)

(12) ОПИСАНИЕ ИЗОБРЕТЕНИЯ К ПАТЕНТУ

Статус: по данным на 08.09.2010 – может прекратить свое действие

(21), (22) Заявка: 2008107387/09, 26.02.2008

(24) Дата начала отсчета срока действия патента:

26.02.2008

(46) Опубликовано: 20.03.2009

(56) Список документов, цитированных в отчете о
поиске:
SU 481113 A, 23.10.1975. RU 2000658 C, 07.09.1993. WO 2006/063323 A2, 15.06.2006.

Адрес для переписки:

445015, Самарская обл., г. Тольятти, ул. Крылова, 6, кв.24, А.А.Кувшинову

(72) Автор(ы):

Кувшинов Андрей Алексеевич (RU),
Абрамов Геннадий Николаевич (RU),
Кувшинов Алексей Алексеевич (RU)

(73) Патентообладатель(и):

Кувшинов Андрей Алексеевич (RU),
Абрамов Геннадий Николаевич (RU),
Кувшинов Алексей Алексеевич (RU)

(54) СПОСОБ ШИРОТНО-ИМПУЛЬСНОГО УПРАВЛЕНИЯ СТАТИЧЕСКИМ ПРЕОБРАЗОВАТЕЛЕМ

(57) Реферат:

Изобретение относится к области преобразовательной и импульсной техники и может быть использовано в преобразователях постоянного напряжения, автономных инверторах, регуляторах переменного напряжения и в других типах статических преобразователей с нелинейной регулировочной характеристикой. Цель изобретения – расширение функциональных возможностей путем коммутационного программирования развертки опорного сигнала и вида передаточной характеристики «вход-выход» статического преобразователя. Способ широтно-импульсного управления статическим преобразователем заключается в том, что задают последовательность периодов модуляции, разворачивают на каждом периоде модуляции опорный сигнал в виде пакета опорных импульсов различной амплитуды, который сравнивают с сигналом управления и формируют управляющие импульсы силовыми ключами статического преобразователя на каждом периоде модуляции в течение интервала знакопостоянства разности опорного сигнала и сигнала управления. Для достижения поставленной цели в диапазоне значений сигнала управления формируют ранжированную в порядке возрастания шкалу опорных напряжений U12<…i<…UN, разворачивают на периоде модуляции вспомогательный сигнал пилообразной формы с амплитудой, равной UN, задают на шкале опорных напряжений N дискретных отсчетов S0(), S0(2·), …, S0(j·), …, S0(N·) развертки опорного сигнала и составляют пакет из N прямоугольных опорных импульсов в процессе воспроизведения многоместных N-арных логических операций предикатной алгебры выбора

v(y1, K, yN)=y1·1+y2·2+K+yi·i+K+yN·N,

при отождествлении дискретных отсчетов S0(j·) с предметными переменными уi и формировании временной последовательности бинарных значений {0,1} составных весовых коэффициентов, удовлетворяющих условиям комплементарности

1+2+K+i+K+N=1,

,

путем сравнения вспомогательного сигнала пилообразной формы с опорными напряжениями, осуществляют коммутационные перестановки между предметными переменными и дискретными отсчетами yiS0(j·) и выделяют гладкую составляющую развертки опорного сигнала. 3 ил.

Изобретение относится к области преобразовательной и импульсной техники и может быть использовано в преобразователях постоянного напряжения, автономных инверторах, регуляторах переменного напряжения и в других типах статических преобразователей с нелинейной регулировочной характеристикой.

Известен способ широтно-импульсного управления статическим преобразователем, заключающийся в том, что задают последовательность периодов модуляции, разворачивают на каждом периоде модуляции опорный сигнал пилообразной формы, который сравнивают с сигналом управления и формируют управляющие импульсы силовыми ключами статического преобразователя на каждом периоде модуляции в течение интервалов знакопостоянства разности опорного сигнала и сигнала управления [1].

Известный способ обладает ограниченными функциональными возможностями, поскольку не позволяет формировать требуемый вид зависимости выходного напряжения статического преобразователя от величины сигнала управления, в частности, осуществлять линеаризацию передаточной характеристики «вход-выход».

Известен способ широтно-импульсного управления статическим преобразователем, заключающийся в том, что задают последовательность периодов модуляции, разворачивают на каждом периоде модуляции опорный сигнал арккосинусоидальной формы, который сравнивают с сигналом управления и формируют управляющие импульсы силовыми ключами статического преобразователя на каждом периоде модуляции в течение интервала знакопостоянства разности опорного сигнала и сигнала управления [2].

Известный способ обладает ограниченными функциональными возможностями, поскольку не позволяет формировать требуемый вид зависимости выходного напряжения статического преобразователя от величины сигнала управления, а линеаризация передаточной характеристики «вход-выход» возможна только для статических преобразователей с регулировочной характеристикой косинусоидальной формы.

Наиболее близким к предлагаемому является способ широтно-импульсного управления статическим преобразователем, заключающийся в том, что задают последовательность периодов модуляции, разворачивают на каждом периоде модуляции опорный сигнал в виде пакета опорных импульсов различной амплитуды, который сравнивают с сигналом управления, и формируют управляющие импульсы силовыми ключами статического преобразователя на каждом периоде модуляции в течение интервала знакопостоянства разности опорного сигнала и сигнала управления [3].

Известный способ обладает ограниченными функциональными возможностями, поскольку обеспечивает только линеаризацию передаточной характеристики «вход-выход» магических преобразователей с косинусоидальной формой регулировочной характеристики. Это обусловлено фиксированным распределением опорных импульсов в пакете, формирующем только один вид развертки опорного сигнала по арккосинусоидальному закону.

Цель предлагаемого изобретения состоит в расширении функциональных возможностей путем коммутационного программирования развертки опорного сигнала и вида передаточной характеристики «вход-выход» статического преобразователя.

Поставленная цель достигается тем, что в диапазоне значений сигнала управления формируют ранжированную в порядке возрастания шкалу опорных напряжений U12<…i<…N, разворачивают на периоде модуляции вспомогательный сигнал пилообразной формы с амплитудой, равной UN, задают на шкале опорных напряжений N дискретнык отсчетов S0(),S0(2·),…,S0(j·),…,S0(N·) развертки опорного сигнала и составляют пакет из N прямоугольных опорных импульсов в процессе воспроизведения многоместных N-арных логических операций предикатной алгебры выбора

при отождествлении дискретных отсчетов S0(j·) с предметными переменными уi и формировании временной последовательности бинарных значений {0,1} составных весовых коэффициентов, удовлетворяющих условиям комплементарности

путем сравнения вспомогательного сигнала пилообразной формы с опорными напряжениями, осуществляют коммутационные перестановки между предметными переменными и дискретными отсчетами уiS0(j·) и выделяют гладкую составляющую развертки опорного сигнала.

На фиг.1 изображена схема устройства, реализующего предлагаемый способ; на фиг.2 представлены временные диаграммы вспомогательного сигнала пилообразной формы и составных весовых коэффициентов на периоде модуляции; на фиг.3 показана последовательность опорных импульсов и «гладкая» составляющая программируемой развертки опорного сигнала.

Устройство, реализующее предлагаемый способ управления, содержит источник 1 опорных напряжений, генератор 2 вспомогательного сигнала пилообразной формы, коммутационную матрицу 3, ситуационный аналоговый мультиплексор 4, одноканальный релятор-модулятор 5 и статический преобразователь 6.

Выходы источника 1 опорных напряжений, формирующего шкалу ранжированных в порядке возрастания опорных напряжений U12<…i<…UN, подключены к горизонтальным шинам коммутационной матрицы 3, вертикальные шины которой разделены на две функциональные группы: группу вертикальных шин предикатных переменных (х12,…,xN-1) и группу вертикальных шин предметных переменных (y1,y2,…,yN).

Ситуационный аналоговый мультиплексор 4 состоит из (N-1) одноканальных реляторов 7, каждый из которых содержит дифференциальный компаратор 8, управляющий переключательным каналом, образованным замыкающим 9 и размыкающим 10 ключами. Прямые входы дифференциальных компараторов 8 всех (N-1) одноканальных реляторов 7 объединены и образуют информационный вход ситуационного аналогового мультиплексора 4, подключенный к выходу генератора 2 вспомогательного сигнала пилообразной формы. Инверсные входы дифференциальных компараторов 8 одноканальных реляторов 7 подключены к группе вертикальных шин предикатных переменных (х1,х2,…,xN-1) коммутационной матрицы 3. Идентификация каждого одноканального релятора 7 в составе ситуационного аналогового мультиплексора 4 осуществляется индексом подключенной предикатной переменной (x1,x2,…,xN-1). Замыкающий 9 и размыкающий 10 ключи переключательных каналов смежных пар одноканальных реляторов 7 соединены последовательно, причем свободные выводы замыкающих ключей 9 подключены к группе вертикальных шин предметных переменных (у2,…,yN-1) коммутационной матрицы 3, а свободные выводы размыкающих ключей 10 объединены и образуют информационный выход ситуационного аналогового мультиплексора 4, подключенный к фильтру нижних частот 11. Выводы размыкающего 10 и замыкающего 9 ключей «крайних» одноканальных реляторов 7 подключены к вертикальным шинам предметных переменных у1, уn коммутационной матрицы 3 и к информационному выходу ситуационного аналогового мультиплексора 4 соответственно.

Прямой вход дифференциального компаратора 12 одноканального релятора-модулятора 5 подключен к выходу фильтра нижних частот 11, а на инверсный вход подается сигнал управления Sy. Замыкающий ключ 13 переключательного канала одноканального релятора-модулятора 5 подключен к источнику положительного напряжения +UM, размыкающий ключ 14 подключен к источнику отрицательного напряжения -UM. Свободные выводы замыкающего 13 и размыкающего 14 ключей объединены и образуют выход одноканального релятора-модулятора 5, подключенный к управляющему входу силовых ключей статического преобразователя 6.

На фиг.1, 2, 3 приняты следующие обозначения: U1,U2,…,UN-1,UN – шкала опорных напряжений: (х1, х2,…,хN-2N-1) – предикатные переменные; (у12,…,уN-1N) – предметные переменные; SB – вспомогательный сигнал пилообразной формы; 1, 2,…,N-2,N-1,N – составные весовые коэффициенты; S0(yi,N),S0(t) – логико-алгебраическая аппроксимация и гладкая составляющая программируемой развертки опорного сигнала; Sy – сигнал управления; Sшим – выходной широтно-импульсный сигнал; Uвых – выходное напряжение статического преобразователя 6; Т – продолжительность периода модуляции; t – длительность составного весового коэффициента.

Предлагаемый способ широтно-импульсного управления статическим преобразователем заключается в следующем.

Одноканальный релятор 7 (5) аппаратурно воспроизводит базовые бинарные операции предикатной алгебры выбора (ПАВ), к которым относятся ПАВ-дизъюнкция () и ПАВ-конъюнкция (V)

где у1, у2 – предметные переменные, действующие на переключательных входах замыкающего 9 (13) и размыкающего 10 (14) ключей соответственно; х12 – предикатные переменные, действующие на комнараторных входах дифференциального компаратора 8 (12), прямом и инверсном соответственно; I(x) – единичная функция, равная нулю при х<0 или единице при х0 и формируемая на выходе дифференциального компаратора 8(12).

Базовые бинарные операции (1), (2) осуществляют альтернативный выбор одной из двух предметных переменных либо у1, либо у2 по отношению сравнения предикатных переменных х1 и х2. Многократным повторением процедуры предметной суперпозиции, когда в качестве предметных переменных используются бинарные операции вида (1), (2), образуются многоместные операции ПАВ-дизъюнкции () и ПАВ-конъюнкции (V)

где у1,…,уN – предметные переменные; i, i, – составные весовые коэффициенты, принимающие бинарные значения {0,1} и удовлетворяющие условиям комплементарности

Составной весовой коэффициент определяется произведением K=C2 (N-1)единичных функций

где К – количество бинарных отношений порядка на заданном наборе предикатных переменных х1,…,х(N-1), равное числу сочетаний из (N-1) элементов по два; есть либо

Определение составного весового коэффициента () заключается в составлении для i-й ситуации бинарных отношений порядка каждому из которых соответствует единичная функция . Произведение всех единичных функций для данной ситуации определяет соответствующий составной весовой коэффициент (5), (6).

Многоместные логические операции (3), (4) аппаратурно воспроизводит ситуационный аналоговый мультиплексор 4 при подаче на информационный вход с генератора 2 вспомогательного сигнала пилообразной формы

который разворачивается на периоде модуляции Т в пределах шкалы опорных напряжений U1,…,Ui,…,UN. (Здесь =t/T – локальное время на периоде модуляции.) В коммутационной матрице 3 подключением (на фиг.1 отмечено «*») горизонтальных шин к соответствующим вертикальным шинам (x1,x2,…,xN-1) выполняется процедура отождествления предикатных переменных с опорными напряжениями

x1=U1; x2=U2; … xN-1=UN-1

Тогда на каждом периоде широтно-импульсной модуляции повторяются следующие ситуации (фиг.2):

в каждой из которых формируется соответствующий составной весовой коэффициент

Необходимо отметить, что единичные функции I(SB-0) и I(UN-SB) тождественно равны 1 при положительной полярности вспомогательного сигнала SB пилообразной формы и амплитуде, равной UN. Поэтому в ситуационном аналоговом мультиплексоре 4 каждый составной весовой коэффициент i(i1,iN) формируется парой одноканальных реляторов 7 с последовательно соединенными замыкающим ключом 9 в одном и размыкающим ключом 10 в другом (фиг.1), что соответствует конъюнкции единичных функций в соотношениях (7). Только составные весовые коэффициенты i и N согласно (7) формируются одним ключом, размыкающим 10 и замыкающим 9 соответственно в «крайних» одноканальных реляторах 7. В результате каждый одноканальный релятор 7 ситуационного аналогового мультиплексора 4 участвует в формировании двух смежных составных весовых коэффициентов i и i+1. Процедуру формирования составных весовых коэффициентов (7) поясняют временные диаграммы, представленные на фиг.2.

Подключением вертикальных шин (у12,…,уN) в коммутационной матрице 3 к горизонтальным шинам осуществляется процедура отождествления предметных переменных. В общем случае i-я предметная переменная у может отождествляться с j-м опорным напряжением Uj источника опорных напряжений 1, т.е. уi=Uj. В результате на информационном выходе ситуационного аналогового мультиплексора 4 в процессе реализации многоместной логической операции (3) или (4) на каждом периоде модуляции Т формируется последовательность напряжений {…yi-1=Uq, уi=Uj, yi+1=Ul…,}, которая является логико-алгебраической аппроксимацией S0(yi,N) гладкой составляющей S0(t) развертки опорного сигнала, как показано на фиг.3. Продолжительность каждой ступени одинакова и равна t=T/N, а «высота» ступеней индивидуальна и определяется величиной одного из опорных напряжений Uj источника опорных напряжений 1. Точность аппроксимации определяется выбором соответствующей арности (N) многоместных логико-алгебраических операций (3), (4).

Изменением вариантов отождествления предметных переменных уi и опорных напряжений Uj в коммутационной матрице 3 реализуется процедура коммутационного программирования логико-алгебраической аппроксимации S0(yi,N) опорного сигнала. В результате на информационный выход ситуационного аналогового мультиплексора 4 опорные напряжения U1,…,UN подаются в последовательности, обеспечивающей необходимую развертку опорного сигнала So(yi,N), гладкая составляющая S0(t) которого выделяется фильтром нижних частот 11.

Одноканальный релятор-модулятор 5 воспроизводит базовые бинарные операции (1), (2) при отождествлении предикатных переменных

x1=So(t); x2=Sy

с гладкой составляющей развертки опорного сигнала и с сигналом управления, а предметных переменных

y1=UM;y2=-UM

с положительным UM и отрицательным (-UM) напряжениями соответственно. В результате на выходе одноканального релятора-модулятора 5 формируется широтно-модулированный сигнал Sшим, который описывается бинарной операцией (1)

а при взаимозамещении предикатных переменных х1х2 или предметных переменных у1у2 – бинарной операцией (2)

Как видно из (8), (9), в процессе реализации базовых бинарных операций осуществляется сравнение гладкой составляющей развертки опорного сигнала программируемой формы So(t) с сигналом управления Sy, по результатам которого формируется выходная ШИМ-последовательность . При указанных вариантах отождествления предметных и предикатных переменных базовые бинарные операции в форме (8), (9) формируют взаимно инверсные ШИМ-последовательности и

Для определения величины ступеней логико-алгебраической аппроксимации S0(yi,N) опорного сигнала необходимо знать регулировочную характеристику

и задать требуемый вид передаточной характеристики «вход-выход» статического преобразователя 6

который в типовых случаях может быть линейным, параболическим, логарифмическим, экспоненциальным, а в общем случае – произвольным.

Здесь =tИ/T – относительная длительность управляющего импульса широтно-модулированной последовательности ; tИ – длительность управляющего импульса широтно-модулированной последовательности .

Совместное решение (10) и (11) устанавливает взаимосвязь между величиной сигнала управления Sy и относительной длительностью управляющих импульсов широтно-модулированной последовательности .

где f и f-1 – взаимно-обратные функции, удовлетворяющие условию f-1[f(Sy)]=Sy.

Формирование каждого импульса в широтно-модулированной последовательности как следует из (8), (9), начинается в случае модуляции фронта при линейно нарастающем сигнале SB (фиг.2, сплошная линия) или заканчивается в случае модуляции среза при линейно спадающем сигнале SB (фиг.2, пунктирная линия) наступлением равенства

которое выполняется к первом случае в момент =(1-), а во втором случае в момент =.

Подстановка (13) в (12) при одновременной замене =(1-) или = в зависимости от разновидности модуляции дает соотношения

,

которые позволяют определить развертку опорного сигнала на периоде модуляции для любого выбранного типа статического преобразователя 6 по критерию формирования требуемой передаточной характеристики (11). Достаточно с помощью (13) вычислить N дискретных отсчетов S0(), S0(2·), …, S0(j·), …, S0(N·)

с одинаковым шагом =1/N, которые определяют величину соответствующих ступеней логико-алгебраической аппроксимации S0(yi,N) опорного сигнала при отождествлении с одноименными предметными переменными

y1=S0(); y2=S0(2·); …; yi=S0(i·); …; yN=S0(N·).

В коммутационной матрице 3 соответствующими соединениями между горизонтальными шинами и группой вертикальных шин (у1,y2,…уN) осуществляется фиксация каждой предметной переменной на ближайшем к расчетному значению дискретного отсчета уровне шкалы опорных напряжений U1,…,Ui,…,UN. Тогда в процессе функционирования устройства на информационном выходе ситуационного аналогового мультиплексора 4 формируется логико-алгебраическая аппроксимация S0(yi,N) опорного сигнала, обеспечивающая заданный вид (11) передаточной характеристики «вход-выход» статического преобразователя 6.

Для изменения передаточной характеристики достаточно изменить соединения в коммутационной матрице 3, т.е. выполнить процедуру коммутационного перепрограммирования развертки опорного сигнала.

В простейшем случае линеаризации передаточной характеристики «вход-выход» статического преобразователя 6

Uвых=Kсп·Sy

развертка опорного сигнала на периоде модуляции

должна повторить форму регулировочной характеристики, уменьшенную по величине (масштабированную) в Ксп раз. Здесь Ксп – коэффициент передачи «вход-выход» статического преобразователя 6.

Таким образом, предлагаемый способ позволяет получить положительный эффект, который заключается в расширении функциональных возможностей за счет коммутационного программирования развертки опорного сигнала, обеспечивающего не только линеаризацию, но и формирование заданного вида передаточной характеристики «вход-выход» статических преобразователей.

Источники информации

1. Источники вторичного электропитания. / В.А.Головацкий, Г.Н.Гулякович, Ю.И.Конев и др./ Под редакцией Ю.И.Конева. – 2-е изд., перераб. и доп. – М.: Радио и связь, 1990. – 280 с.(рис.5.6, рис.5.7).

2. Зиновьев Г.С. Основы силовой электроники: Учеб. пособие. – Изд. 2-е, испр. и доп. – Новосибирск: Изд-во НГТУ, 2003. – 664 с.(с.508-510, рис.12.2.1).

3. А.с. 481113 (СССР), МКИ Н02р 13/16, H02m 1/08. Способ временной задержки управляющих импульсов. / В.Н.Гребенчук, В.Ф.Шапошников. – Заявлено 28.03.72; опубл. 15.08.75. Бюл. №30.

Формула изобретения

Способ широтно-импульсного управления статическим преобразователем, заключающийся в том, что задают последовательность периодов модуляции, разворачивают на каждом периоде модуляции опорный сигнал в виде пакета опорных импульсов различной амплитуды, который сравнивают с сигналом управления и формируют управляющие импульсы силовыми ключами статического преобразователя на каждом периоде модуляции в течение интервала знакопостоянства разности опорного сигнала и сигнала управления, отличающийся тем, что в диапазоне значений сигнала управления формируют ранжированную в порядке возрастания шкалу опорных напряжений U12<…i<…N, разворачивают на периоде модуляции вспомогательный сигнал пилообразной формы с амплитудой равной UN, задают на шкале опорных напряжений N дискретных отсчетов S0(), S0(2·), …, S0(j·), …, S0(N·) развертки опорного сигнала и составляют пакет из N прямоугольных опорных импульсов в процессе воспроизведения многоместных N-арных логических операций предикатной алгебры выбора

v(y1,…, yN)=y1·1+y2·2+…+yi·i+…+yN·N,

при отождествлении дискретных отсчетов S0(j·) с предметными переменными уi, и формировании временной последовательности бинарных значений {0,1} составных весовых коэффициентов, удовлетворяющих условиям комплементарности

путем сравнения вспомогательного сигнала пилообразной формы с опорными напряжениями, осуществляют коммутационные перестановки между предметными переменными и дискретными отсчетами yiS0S0(j·) и выделяют гладкую составляющую развертки опорного сигнала,

U1, U2, …, Ui, …, UN – шкала опорных напряжений;

S0(), S0(2·), …, S0(j·), …, S0(N·) – дискретные отсчеты развертки опорного сигнала;

v(y1,…yN, 1, …, yN) – многоместные логические операции предикатной алгебры выбора;

N – арность многоместных логических операций;

У1 …, УN – предметные переменные;

(1, …, N), – составные весовые коэффициенты;

– относительная продолжительность составных весовых коэффициентов.

РИСУНКИ

Categories: BD_2350000-2350999